Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ChiselSim waveforms #4246

Open
HakamAtassi opened this issue Jul 7, 2024 · 4 comments
Open

ChiselSim waveforms #4246

HakamAtassi opened this issue Jul 7, 2024 · 4 comments

Comments

@HakamAtassi
Copy link

Hello!

I feel like I'm not the first to ask, but I haven't found anything regarding this as an open issue so I'll ask nonetheless.

ChiselSim. Waveforms. Yes or no?

Can I write chisel/scala tests and dump a VCD like ChiselTest?

@zhutmost
Copy link

zhutmost commented Jul 8, 2024

A simple answer is: yes

@HakamAtassi
Copy link
Author

A simple answer is: yes

How? Is there a native way of doing that or does it involve tywaves?

@zhutmost
Copy link

zhutmost commented Jul 8, 2024

Enable traceEnabled when yourunElaboratedModule during simulation. See

traceEnabled: Boolean = false,

And I have some wrapper code to make it much easier. See my example here.

@jackkoenig
Copy link
Contributor

It's not exposed with the existing EphemeralSimulator, but there is work towards simpler user APIs like #4209 which should make this easier.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
3 participants