About us

Nova delivers continuous innovation by providing advanced metrology solutions for the semiconductor manufacturing industry. Deployed with the world’s largest integrated-circuit manufacturers, Nova’s novel technologies provide semiconductor manufacturers with the right process insight and clarity required to boost process performance, product yields and time to market. Nova’s people are masters at bringing pioneering metrology solutions to the world of process control. We are experts at industrializing lab technologies and developing emerging metrology solutions to enhance process control and facilitate our customers’ challenging technical transitions. We offer a combination of materials and dimensional metrology, advanced modeling algorithms combining machine learning and big data within both integrated and stand-alone configurations, enabling our customers to gain deeper insight throughout the entire R&D and manufacturing processes. Headquartered in Rehovot, Israel, Nova has dedicated customer operations and service centers around the world. Additional information may be found at www.novami.com If you need assistance due to a disability, you may contact us at Talents@novami.com

Website
https://www.novami.com
Industry
Semiconductor Manufacturing
Company size
1,001-5,000 employees
Headquarters
Rehovot
Type
Public Company
Specialties
semiconductor, metrology, and optics

Locations

  • Primary

    P.O. Box 266

    Weizmann Science Park

    Rehovot, 76100, IL

    Get directions
  • 3342 Gateway Blvd

    Fremont, California 94538, US

    Get directions
  • Siemensstraße 8

    Pliezhausen, Baden-Württemberg 72124, DE

    Get directions
  • 23F No. 118 CiYun Rd. Hsinchu

    Hsinchu, Taiwan, TW

    Get directions
  • 36 Xinjinqiao Road, Jinqiao Export Processing Zone, Pudong

    Room 2302, South Tower 1, Shanghai International Fortune Center

    Shaghai, CN

    Get directions
  • IOS Gotanda Annex 403, 1-7-11 Higashigotanda

    Shinagawa-ku, Tokyo, 141-0022, JP

    Get directions
  • 93, 23 Gil, Dongtan-daero, Hwaseong-si,

    4F whole floor(Honor SKY Building),

    Gyeonggi-do, KR

    Get directions

Employees at Nova Ltd.

Updates

  • View organization page for Nova Ltd., graphic

    43,106 followers

    "In my role as a Sr. Applications Scientist, I get to work with proprietary Nova software and prototypes every day. This is a fun and creative playground for me and it fuels my daily motivation. We face challenges every day, but seeing the impact in the market is super rewarding. I am very enthusiastic about what we do here and it shows in how I encourage colleagues from the industry to join Nova. As someone who has already referred a colleague to Nova, I tell my friends that in order to thrive here, they need to be passionate, curious, self-driven, and adept at getting things done. The most rewarding part of referring my colleague is the ability to help the community of my fellow semiconductor engineers, and then you get to work with the finest people. Plus, there's always that sweet referral bonus.” Mikhail Ladanov, Sr. Applications Scientist, Nova USA #One_OfNova"

    • No alternative text description for this image
  • View organization page for Nova Ltd., graphic

    43,106 followers

    "מבחינתנו, אין צורך במדיניות מיוחדת לגיוס של עובדי מילואים- אנחנו מתייחסים לזה כמו כל עובד אחר." אומרת שרון דיין, סמנכ"ל משאבי אנוש. "מקרה מעניין שקרה לנו היה עם עובד שהתחיל אצלנו תהליך גיוס בעודו בקבע. הממליץ בראיון הטלפוני היה ��מפקד שלו והוא אמר לנו שהוא מתכוון לגייס אותו למילואים. זה כמובן לא עצר אותנו מלתת לו הצעה, והוא גויס לנובה ולמילואים במקביל. מאז הוא שוחרר והחל לעבוד בחברה" לקריאת הכתבה המלאה בכלכליסט: https://lnkd.in/d9ydU4EM Calcalist כלכליסט CTech by Calcalist #WeAreNova #NoMatterWhat

    הפיל שבחדר: אחרי 9 חודשי מלחמה, המילואים נכנסים לראיונות עבודה | כלכליסט

    הפיל שבחדר: אחרי 9 חודשי מלחמה, המילואים נכנסים לראיונות עבודה | כלכליסט

  • View organization page for Nova Ltd., graphic

    43,106 followers

    This World Environment Month, Nova teams went all out! Our commitment to the planet shone brightly this Environment Month with a series of global volunteering efforts: ✅ Park clean-ups in Japan ✅Beach clean-ups in Taiwan and Singapore ✅Community clean-ups in Germany ✅ and projects in Israel that included creating a therapeutic garden and carpentry with recycled wood for at-risk youth Special shoutout to the teams in Korea, the USA, and China for bringing the kids along. These young environmentalists added an extra dose of cuteness and hope for the future as they helped clean up parks and city spaces. Our future is in good hands. #WorldEnvironmentMonth #NovaGermany #NovaISrael #NovaKorea #NovaTaiwan #NovaJapan #NovaUSA #NovaChina #NovaSingapore #NovaVibes

    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
      +3
  • View organization page for Nova Ltd., graphic

    43,106 followers

    “After a few years exploring roles in various companies and territories, I realized there's no place quite like Nova and chose to come back. Since my departure in 2017, I've missed the unique mix of challenging work and great teamwork that Nova Korea stands for. It truly feels like coming back to my professional home. The company has grown significantly, transitioning from a small start-up-like operation to a more structured, professional environment. Yet, the core of what makes Nova special, the proactive, growth-oriented culture, remains the same—not to mention the friendly, family-like atmosphere. My journey has come full circle. I left an FSE position 7 years ago, and now, as a service manager, I'm looking forward to contributing to our service team's success and enhancing our operations and customer relationships. Rejoining Nova isn't just a step back to familiar grounds; it's a step forward in my career, ready to make an impact with a team that feels like family.” Jack Lee, Service Manager, Nova Korea #WeAreNova #NovaKorea

    • No alternative text description for this image
  • View organization page for Nova Ltd., graphic

    43,106 followers

    "I've been participating in “Stadtradeln” since 2019. It's an annual event across Germany where each district chooses a three-week period for people to bike as much as possible as a healthy and environment-friendly alternative to cars. This initiative encourages more people to cycle regularly and raise awareness among policymakers and urban planners about the importance of biking. I proposed to our team at Nova to join me in this initiative. It seemed like a natural step for us, considering how much emphasis our company places on sustainability. Biking to work daily, weather permitting, has become my routine. It's not just about the exercise; cycling allows me to unwind and even think about work or enjoy the scenery. We're in Stadtradeln not to win. It’s more about doing our bit for a greener tomorrow." Wolfgang Roth, Manufacturing Engineering Lead, Nova Germany

    • No alternative text description for this image
  • View organization page for Nova Ltd., graphic

    43,106 followers

    Today's most advanced AI processors consist of billions of transistors and are steadily growing toward 1 trillion. Earlier this year, NVIDIA announced on the arrival of their new Blackwell platform, to power a new era of computing. Its GPU, the world’s most powerful chip, is packed with not less than 208 billion transistors! These tiny components are the stars of semiconductors, but behind every high-performance transistor lies a multitude of materials involved in the fabrication process. Click here to read the full article: https://bit.ly/3xSLnbE

    Advanced Logic Device Architectures - Challenges and Solutions in Materials Metrology

    Advanced Logic Device Architectures - Challenges and Solutions in Materials Metrology

    novami.com

  • View organization page for Nova Ltd., graphic

    43,106 followers

    Jack: “When Aaron joined Nova and told me about the great culture and opportunities, I was intrigued. We have worked together in the past so I knew his word is a good as gold and trusted him when he said there is an that would fit me exactly. He referred me for a perfect role, and now we're working together again!" Aaron: “I knew Jack would fit right in so when I saw the opportunity and referred him to our HR team, I was happy to hear they thought the same. It's great having him not just as my friend but also my colleague again" Aaron Lee, Sr. FSE and Jack Kim FSE Nova Korea #One_ofNova

    • No alternative text description for this image

Similar pages

Stock

NVMI

NASDAQ

20 minutes delay

$206.46

-3.365 (-1.604%)

Open
214.02
Low
198.14
High
214.02

Data from Refinitiv

See more info on Bing

Funding

Nova Ltd. 2 total rounds

Last Round

Post IPO equity

US$ 175.0M

See more info on crunchbase