Skip to content

Issues: chipsalliance/chisel

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Scala 3 / Dotty support
#3967 opened Apr 4, 2024 by Flowdalic updated Jul 19, 2024
Scala version
#4293 opened Jul 19, 2024 by schoeberl updated Jul 19, 2024
Proposal for Verification IR with reset
#4282 opened Jul 17, 2024 by poemonsense updated Jul 17, 2024
SVSim fails when pokeing and incrementing clock in loop
#4281 opened Jul 16, 2024 by HakamAtassi updated Jul 16, 2024
ChiselSim waveforms
#4246 opened Jul 7, 2024 by HakamAtassi updated Jul 9, 2024
ChiselSim tests runs orders of magnitude slower than chiseltest
#4207 opened Jun 21, 2024 by carlosedp updated Jun 27, 2024
BoringUtils + when/layer/region produces invalid FIRRTL
#4108 opened May 29, 2024 by dtzSiFive updated Jun 26, 2024
ChiselSim improvements to close the gap to chiseltest
#4203 opened Jun 21, 2024 by carlosedp updated Jun 23, 2024
6 tasks
loadMemoryFromFile seems not work in chisel6.2.0
#3961 opened Apr 2, 2024 by yongruifang updated Jun 21, 2024
Source line + carat for errors should work for Scala CLI Example
#4172 opened Jun 13, 2024 by jackkoenig updated Jun 13, 2024
ProTip! Mix and match filters to narrow down what you’re looking for.